Аттестационная робота. Проектирование и диагностирование легкотестируемых конечных автоматов презентация

Содержание


Презентации» Педагогика» Аттестационная робота. Проектирование и диагностирование легкотестируемых конечных автоматов
Постановка задачи
 Цель работы – разработка процедур автоматизированного синтеза легкотестируемых конечныхФормы представления моделей автоматов
 Формы представления конечных автоматов: таблица переходов-выходов, графПринципы тестопригодностиОбеспечение тестопригодности HDL-моделей конечных автоматовОрганизация сдвигового регистра в HDL-модели конечного автомата 
 Наличие входа TDIРеализация сдвигового регистра на основе HDL-модели конечного автомата 
 В результатеРасширение ТПВ автомата Мура
 Расширение TПB автомата путем добавления столбца Sh,Расширение HDL-модели автомата Мура
 Добавление столбца Sh в HDL-модель автомата МураДиагностический эксперименнт над легкотестируемым автоматом Мура
 Временные диаграммы моделирования гамильтонова циклаРасширение ТПВ автомата Мили
 Расширение TПB автомата путем добавления столбца Sh,Расширение HDL-модели автомата Мили
 Добавление столбца Sh в HDL-модель автомата МилиСхемная реализация легкотестируемого автомата
 Используемое устройство: плата Spartan 3E, микросхема FPGAДиагностические эксперименты над автоматами
 Входная последовательность X называется отличительной для автоматаАппаратурные затраты на обеспечение тестопигодности
 Как видно из приведенных результатов оптимальнымВЫВОДЫ
 Одним из способов повышения тестопригодности цифровых устройств является организация сдвигового



Слайды и текст этой презентации
Слайд 1
Описание слайда:


Слайд 2
Описание слайда:
Постановка задачи Цель работы – разработка процедур автоматизированного синтеза легкотестируемых конечных автоматов, на основе HDL-моделей в форме автоматного шаблона

Слайд 3
Описание слайда:
Формы представления моделей автоматов Формы представления конечных автоматов: таблица переходов-выходов, граф переходов, HDL-модель, граф-схема алгоритма

Слайд 4
Описание слайда:
Принципы тестопригодности

Слайд 5
Описание слайда:
Обеспечение тестопригодности HDL-моделей конечных автоматов

Слайд 6
Описание слайда:
Организация сдвигового регистра в HDL-модели конечного автомата Наличие входа TDI (test data input) дает возможность записывать в память код нужного состояния .

Слайд 7
Описание слайда:
Реализация сдвигового регистра на основе HDL-модели конечного автомата В результате синтеза предложенной HDL-модели получается схема с мультиплексорами, дающая возможность организовать сканированный путь.

Слайд 8
Описание слайда:
Расширение ТПВ автомата Мура Расширение TПB автомата путем добавления столбца Sh, в общем случае реализующего произвольную функцию переходов-выходов повышает управляемость состояний автомата и приводит к преобразованию структуры ОД, к стандартному виду Scan Path,

Слайд 9
Описание слайда:
Расширение HDL-модели автомата Мура Добавление столбца Sh в HDL-модель автомата Мура реализует сдвиговый регистр

Слайд 10
Описание слайда:
Диагностический эксперименнт над легкотестируемым автоматом Мура Временные диаграммы моделирования гамильтонова цикла автомата Мура с сигналом Sh (FSM_ Sh)

Слайд 11
Описание слайда:
Расширение ТПВ автомата Мили Расширение TПB автомата путем добавления столбца Sh, в общем случае реализующего произвольную функцию переходов-выходов повышает управляемость состояний автомата и приводит к преобразованию структуры ОД, к стандартному виду Scan Path,

Слайд 12
Описание слайда:
Расширение HDL-модели автомата Мили Добавление столбца Sh в HDL-модель автомата Мили

Слайд 13
Описание слайда:
Схемная реализация легкотестируемого автомата Используемое устройство: плата Spartan 3E, микросхема FPGA XC3S500E, Package FG 320. Пакет САПР: XILINX ISE 10.1.

Слайд 14
Описание слайда:
Диагностические эксперименты над автоматами Входная последовательность X называется отличительной для автомата A (X,Y,Z,δ,λ), если выходная последовательность автомата, как реакция на X, различна для любого начального состояния. Входная последовательность X называется установочной для автомата A (X,Y,Z,δ,λ), , если его конечное состояние δ(Z,x), может быть однозначно определено по выходной последовательности λ(Z,x), для всех Z. Входная последовательность X автомата A (X,Y,Z,δ,λ), которая устанавливает его в определенное конечное состояние независимо от состояния выхода и начального состояния, называется синхронизирующей последовательностью. Пусть Z(z1,z2, … zn) подмножество состояний минимального автомата А. Множество X(x1,x2,…xm) будем называть множеством характеристических последовательностей (ХП), если для каждого начального состояния zi, реакция на xk различна.

Слайд 15
Описание слайда:
Аппаратурные затраты на обеспечение тестопигодности Как видно из приведенных результатов оптимальным по аппаратурным затратам является введение дополнительного режима повышения управляемости состояний автомата (дополнительный столбец в ТПВ) как для автомата Мура так и для автомата Мили. Аппаратурные затраты при том возрастают на 20-25% в зависимости от типа автомата.

Слайд 16
Описание слайда:
ВЫВОДЫ Одним из способов повышения тестопригодности цифровых устройств является организация сдвигового регистра в запоминающей части. Оптимальным способом организации сдвигового регистра в HDL-моделях конечных автоматов является расширение таблицы переходов-выходов, которое повышает управляемость состояний автомата и приводит к преобразованию структуры объекта диагоностирования к стандартному виду Scan Path. Синтез расширенной модели HDL-модели конечного автомата дает структуру, аналогичную ScanPath. Используемое устройство: плата Spartan 3E, микросхема FPGA XC3S500E, Package FG 320. Пакет САПР: XILINX ISE 10.1. Конечный автомат, построенный на основе расширенной модели HDL-модели, позволяет реализовать диагностический эксперимент с использованием любых типов диагностических последовательностей


Скачать презентацию на тему Аттестационная робота. Проектирование и диагностирование легкотестируемых конечных автоматов можно ниже:

Похожие презентации